ACCD向你發送了一條作品集最新要求,請查收!

藝術中心設計學院(簡稱ACCD),學校於1930年成立。

藝術中心設計學院是美國著名的設計學校之一。

ACCD設有廣告設計、環境設計、電影藝術、純藝術媒體、平面設計、插圖、攝影藝術、產品設計和交通運輸工具設計等9個系。其研究所設有下列研究領域:電影、藝術、工業設計、媒體設計、設計評論與理論。

其中,交通工具設計絕對不能不提,為其主導專業,也是全美聲望最高的科系之一,與美國通用汽車公司有良好的合作關係。從這所設計專業學校畢業的學生,很有可能是下一個喬治亞羅,該校與國際產業界的建教合作,已經建立良好的口碑,透過這種良性互動,Art Center的校友在今日汽車設計領域中扮演非常重要角色,來自30餘國的學生,在Art Center務實與整體教育訓練下,已成為業界間最搶手的人力資源,很多一線資深汽車設計師來自此校,福特的華人首席設計師劉家寶女士也是畢業於ARTcenter。

藝術中心設計學院有為各個年齡階段的社會人士開設的設計教育公共課,同時還在瑞士建有分校,其學費均符合該州平均收入水平以下,絕大多數學生都能夠承擔學費;學校對條件優秀的申請者提供一定比例的獎學金或助學金,以幫助其順利完成學業。

申請費用:70$

申請資料:完整的申請表格;大學本科的成績單,國家認可的大學學歷證書;作品集;學習計劃/研究計劃;意向書;個人陳述(即文書);個人簡歷;推薦信;托福(網考)需要100分及以上,雅思6.5以上;GPA成績要求3.0以上。

ACCD今年的本科申請對於作品集有了新的要求!

作品集視頻和小短文要求:

在ACCD,我們認為對於作品創作的靈感和動機的交流與最終成型的藝術作品本身一樣重要。 所以我們希望你可以分享一下你作品集當中某一件創作(項目)背後的故事,可以用視頻或短文的形式來表達。

在你的短文中,請回答一下問題:

為什麼你選擇創造這個作品?

你是如何製作這個作品的?

這個作品的哪部分傳達了你設計意圖?

如果選擇去改變這個作品的話,你會選擇改變什麼?

【Instructions】

從你的作品集中選擇一個項目

標明作品的名稱和創作日期

確保在slideroom里列舉所選作品的名稱一致,以便我們賞析參照。

如果你選擇製作一個視頻,總長度在2分鐘以內。

如果你選擇提交一篇短文,總字數限定在750字以內。

關於提交方式:視頻或者短文,在slideroom里和作品集一起上傳。

【NOTE】

我們只對你的上傳的內容感興趣。如果你選擇上傳視頻,不要因為太在意而去故意提高拍攝質量。 用現有的資源和設備就可以了,例如手機。


推薦閱讀:

TAG:藝術留學 | 作品集portfolio | 藝術中心設計學院ACCD |